Разделы презентаций


Вводная лекция

Содержание

Литература по цифровой схемотехникеДжон Ф. Уэйкерли Проектирование цифровых устройств. В 2 т. М.: Постмаркет. – 1088 с.Уилкинсон Б. Основы проектирования цифровых схем. М.: Издательский дом «Вильямс», 2004. – с. Угрюмов Е.П.

Слайды и текст этой презентации

Слайд 1Вводная лекция

Вводная лекция

Слайд 2Литература по цифровой схемотехнике
Джон Ф. Уэйкерли Проектирование цифровых устройств. В

2 т. М.: Постмаркет. – 1088 с.
Уилкинсон Б. Основы проектирования

цифровых схем. М.: Издательский дом «Вильямс», 2004. – с.
Угрюмов Е.П. Цифровая схемотехника. – СПб.: БХВ-Санкт-Петербург, 2010. – 528 с.
Токхейм Р. Основы цифровой электроники: Пер. с англ. – М.: Мир, 1988. – 392 с.
Янсен Й. Курс цифровой электроники: В 4 т. Т.1. Основы цифровой элеткроники на ИС. – М.: Мир, 1987. – 334 с.
Зубчук В.И. и др. Справочник по цифровой схемотехнике. К.: Тэхника, 1990. – 448 с.
Аванесян Г.Р., Левшин В.П. Интегральные микросхемы ТТЛ и ТТЛШ: Справочник. – М.: Машиностроение, 1993. – 256 с.
Шило В.Л. Популярные цифровые микросхемы: Справочник. – М.: Радио и связь, 1987.– 352 с.
Логические интегральные схемы 1533, 1554. Справочник.

2013

Литература по цифровой схемотехникеДжон Ф. Уэйкерли Проектирование цифровых устройств. В 2 т. М.: Постмаркет. – 1088 с.Уилкинсон

Слайд 3Литература по ПЛИС
Максфилд К. Проектирование на ПЛИС. Курс молодого бойца.

М.: Изд.дом "Додэка-ХХІ", 2007. – 408 с.

Грушвицкий Р.И., Мурсаев А.Х.,

Угрюмов Е.П. Проектирование систем на микросхемах программируемой логики. СПб.: БХВ-Петербург, 2002. – 608 с.

Стешенко В.Б. ПЛИС фирмы "Altera": элементная база, система проектирования и языки описания аппаратуры. М.: Изд. дом "Додэка-ХХІ", 2002. – 576 с.

Кнышев Д.А., Кузелин М.О. ПЛИС фирмы "Xilinx": описание, структуры основных семейств. М.: Изд.дом "Додэка-ХХІ", 2001. – 240 с.

2013

Литература по ПЛИСМаксфилд К. Проектирование на ПЛИС. Курс молодого бойца. М.: Изд.дом

Слайд 4Литература по VHDL
IEEE Standard VHDL Language Reference Manual, IEEE Std

1076, 2000 Edition
Бибило П.Н. Синтез логических схем с использо-ванием язика

VHDL. – М.: СОЛОН-Р, 2002. – 384 с.
Поляков А.К. Языки VHDL и VERILOG в проектировании цифровой аппаратуры. – М.: СОЛОН-Пресс, 2003. – 320 с.
Сергиенко А.М. VHDL для проектирования вычислительных устройств. – К.: ЧП «Корнейчук», ООО «ТИД»ДС», 2003. – 208 с.
Суворова Е.А., Шейнин Ю.Е. Проектирование цифровых систем на VHDL. - СПб.: БХВ-Петербург, 2003. – 576 с.

2013

Литература по VHDLIEEE Standard VHDL Language Reference Manual, IEEE Std 1076, 2000 EditionБибило П.Н. Синтез логических схем

Слайд 5Структура курса.
Практические занятия: 36 часов
Лабораторные работы: 18 часов
Расчетно-графическое задание.
Дифференцированный

зачет.

Окончание семестра: июнь 2016 г.
2013

Структура курса. Практические занятия: 36 часовЛабораторные работы: 18 часовРасчетно-графическое задание.Дифференцированный зачет.Окончание семестра: июнь 2016 г.2013

Слайд 6Классификация цифровых устройств
Комбинационные
Логические элементы
Мультиплексоры и демультиплексоры
Шифраторы и дешифраторы
Арифметические устройства
Последовательностные
Триггеры
Регистры
Счетчики
Память
2013

Классификация цифровых устройствКомбинационныеЛогические элементыМультиплексоры и демультиплексорыШифраторы и дешифраторыАрифметические устройстваПоследовательностныеТриггерыРегистрыСчетчикиПамять2013

Слайд 7Программируемая логическая интегральная схема (ПЛИС, англ. programmable logic device, PLD) — электронный компонент, используемый для создания цифровых интегральных схем.


В отличие от обычных цифровых микросхем, логика работы ПЛИС не

определяется при изготовлении, а задаётся посредством программирования (проектирования). Для программирования используются программатор и IDE (отладочная среда, Integrated development environment), позволяющие задать желаемую структуру цифрового устройства в виде принципиальной электрической схемы или программы на специальных языках описания аппаратуры: Verilog, VHDL, AHDL и др. Альтернативой ПЛИС являются:
программируемые логические контроллеры (ПЛК);
базовые матричные кристаллы (БМК), требующие заводского производственного процесса для программирования;
ASIC — специализированные заказные большие интегральные схемы (БИС), которые при мелкосерийном и единичном производстве существенно дороже;
специализированные компьютеры, процессоры (например, цифровой сигнальный процессор) или микроконтроллеры, которые из‑за программного способа реализации алгоритмов в работе медленнее ПЛИС.
Некоторые производители для своих ПЛИС предлагают программные процессоры, которые можно модифицировать под конкретную задачу, а затем встроить в ПЛИС. Тем самым:
обеспечивается увеличение свободного места на печатной плате (возможность уменьшения размеров платы);
упрощается проектирование самой ПЛИС;
увеличивается быстродействие ПЛИС.


Программируемая логическая интегральная схема (ПЛИС, англ. programmable logic device, PLD) — электронный компонент, используемый для создания цифровых интегральных схем. В отличие от обычных цифровых микросхем, логика

Слайд 8Ранние ПЛИС
В 1970 году компания «TI» разработала маскируемые (то есть,

программируемые с помощью маски, англ. mask-programmable) интегральные схемы (далее ИС)

основанные на ассоциативном ПЗУ (ROAM) фирмы «IBM». Эта микросхема называлась TMS2000 и программировалась чередованием металлических слоёв в процессе производства интегральная схемы (ИС). TMS2000 имела до 17 входов и 18 выходов с 8‑ю JK-триггерами в качестве памяти. Для этих устройств компания «TI» ввела термин PLA — англ. programmable logic array — программируемая логическая матрица.
PAL
PAL (англ. programmable array logic) — программируемый массив (матрица) логики. В СССР PLA и PLM не различались и обозначились как ПЛМ (программируемая логическая матрица). Разница между PLA и PLM состоит в доступности программирования внутренней структуры (матриц).
GAL

GAL (англ. gate array logic) — это ПЛИС, имеющие программируемую матрицу «И» и фиксированную матрицу «ИЛИ».

CPLD
CPLD (англ. complex programmable logic device — сложные программируемые логические устройства) содержат относительно крупные программируемые логические блоки — макроячейки, соединённые с внешними выводами и внутренними шинами. Функциональность CPLD кодируется в энергонезависимой памяти, поэтому нет необходимости их перепрограммировать при включении. Может применяться для расширения числа входов/выходов рядом с большими кристаллами, или для предобработки сигналов (например, контроллер COM-порта, USB, VGA).

Ранние ПЛИСВ 1970 году компания «TI» разработала маскируемые (то есть, программируемые с помощью маски, англ. mask-programmable) интегральные

Слайд 9FPGA

FPGA (англ. field-programmable gate array) содержат блоки умножения-суммирования, которые широко

применяются при обработке сигналов (DSP, англ. digital signal processing), а

также логические элементы (как правило, на базе таблиц перекодировки — таблиц истинности) и их блоки коммутации. FPGA обычно используются для обработки сигналов, имеют больше логических элементов и более гибкую архитектуру, чем CPLD. Программа для FPGA хранится в распределённой памяти, которая может быть выполнена как на основе энергозависимых ячеек статического ОЗУ (подобные микросхемы производят, например, фирмы «Xilinx» и «Altera») — в этом случае программа не сохраняется при исчезновении электропитания микросхемы, так и на основе энергонезависимых ячеек flash-памяти или перемычек antifuse (такие микросхемы производит фирма «Actel» и «Lattice Semiconductor») — в этих случаях программа сохраняется при исчезновении электропитания. Если программа хранится в энергозависимой памяти, то при каждом включении питания микросхемы необходимо заново конфигурировать её при помощи начального загрузчика, который может быть встроен и в саму FPGA. Альтернативой ПЛИС FPGA являются более медленные цифровые процессоры обработки сигналов. FPGA применяются также, как ускорители универсальных процессоров в суперкомпьютерах (например, компьютер «Cray XD1» компании «Cray», проект «RASC» компании «Silicon Graphics» («SGI»)).

2013

FPGAFPGA (англ. field-programmable gate array) содержат блоки умножения-суммирования, которые широко применяются при обработке сигналов (DSP, англ. digital

Слайд 10SPLD - Simple Programmable Logic Devices
CPLD - Complex Programmable Logic

Devices
FPGA - Field Programmable Gate Arrays
ASIC - Application-Specific Integrated Circuit
Gate

array - базовый матричный кристалл (БМК)

2013

SPLD - Simple Programmable Logic  DevicesCPLD - Complex Programmable Logic  DevicesFPGA - Field Programmable Gate

Слайд 12По уровню интеграции
малая интегральная схема (МИС) — до 100 элементов

в кристалле,
средняя интегральная схема (СИС) — до 1000 элементов в

кристалле,
большая интегральная схема (БИС) — до 10000 элементов в кристалле,
сверхбольшая интегральная схема (СБИС) — до 1 миллиона элементов в кристалле,
ультрабольшая интегральная схема (УБИС) — до 1 миллиарда элементов в кристалле,
гигабольшая интегральная схема (ГБИС) — более 1 миллиарда элементов в кристалле.

2013

По уровню интеграциималая интегральная схема (МИС) — до 100 элементов в кристалле,средняя интегральная схема (СИС) — до

Слайд 13Логические уровни
2013

Логические уровни2013

Слайд 14Обозначение сигналов
2013

Обозначение сигналов2013

Слайд 15Обозначение линий питания
VCC, VDD, V+, VS+ положительное питание
СС – collector

– коллектор
DD – drain – сток
VEE, VSS, V−,

VS− отрицательное питание или земля
ЕЕ – emitter - эмиттер
SS – source – исток
Uпит – напряжение питания

2013

Обозначение линий питанияVCC, VDD, V+, VS+	 положительное питаниеСС – collector – коллектор DD – drain – сток

Слайд 16Закон Мура
2013

Закон Мура2013

Слайд 22Корпус DIP14
2013

Корпус DIP142013

Слайд 23Одновентильные микросхемы
74AHC1G09 – NXP
2И с открытым коллектором
5-выводный корпус 2х2 мм


2013

Одновентильные микросхемы74AHC1G09 – NXP2И с открытым коллектором5-выводный корпус 2х2 мм2013

Слайд 24Логические элементы
2013

Логические элементы2013

Слайд 25Применение:
ПЛИС широко используется для построения различных по сложности и по

возможностям цифровых устройств, например:
устройств с большим количеством портов ввода-вывода (бывают ПЛИС

с более чем 1000 выводов («пинов»));
устройств, выполняющих цифровую обработку сигнала (ЦОС);
цифровой видеоаудиоаппаратуры;
устройств, выполняющих передачу данных на высокой скорости;
устройств, выполняющих криптографические операции, систем защиты информации;
устройств, предназначенных для проектирования и прототипирования интегральных схем специального назначения (ASIC);
устройств, выполняющих роль мостов (коммутаторов) между системами с различной логикой и напряжением питания;
реализаций нейрочипов;
устройств, выполняющих моделирование квантовых вычислений.


Применение:ПЛИС широко используется для построения различных по сложности и по возможностям цифровых устройств, например:устройств с большим количеством портов

Слайд 26Этапы проектирования:
1) Задание принципиальной электрической схемы или программы на специальных

языках описания аппаратуры: Verilog, VHDL, AHDL и др.
2) Логический синтез

с помощью программ-синтезаторов (получение списка электрических соединений (в виде текста) из абстрактной модели, записанной на языке описания аппаратуры).
3) Разводка и размещение элементов, входящих в состав печатной платы (резисторов, генераторов, АЦП, конденсаторов и т.д.) с помощью программ.
4) Создание загрузочного файла прошивки.
5) Программирование загрузочного файла прошивки в ПЛИС (прошивка).

2013

Этапы проектирования:1) Задание принципиальной электрической схемы или программы на специальных языках описания аппаратуры: Verilog, VHDL, AHDL и

Слайд 27Язык описания аппаратуры (англ. hardware description language) — тип компьютерных языков для формального описания

электрических цепей, особенно цифровой логики. Он описывает структуру и функционирование

цепи.
VHDL vs. Verilog
В любом случае, и графическое и текстовое описание проекта реализует цифровую электронную схему, которая в конечном счете будет «встроена» в ПЛИС.

2013

Язык описания аппаратуры (англ. hardware description language) — тип компьютерных языков для формального описания электрических цепей, особенно цифровой логики. Он описывает

Слайд 28VHDL:
VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) — язык описания аппаратуры интегральных схем. Язык проектирования VHDL

является базовым языком при разработке аппаратуры современных вычислительных систем.

Был разработан

в 1983 г. по заказу Министерства обороны США с целью формального описания логических схем для всех этапов разработки электронных систем, начиная модулями микросхем и заканчивая крупными вычислительными системами.

Первоначально язык предназначался для моделирования, но позднее из него было выделено синтезируемое подмножество. Написание модели на синтезируемом подмножестве позволяет автоматический синтез схемы функционально эквивалентной исходной модели. Средствами языка VHDL возможно проектирование на различных уровнях абстракции (поведенческом или алгоритмическом, регистровых передач, структурном), в соответствии с техническим заданием и предпочтениями разработчика.

2013

VHDL:VHDL (англ. VHSIC (Very high speed integrated circuits) Hardware Description Language) — язык описания аппаратуры интегральных схем. Язык проектирования VHDL является базовым языком при разработке аппаратуры современных

Слайд 29Бибило П.Н. Основы языка VHDL: Учебное пособие. Изд. 5-е. — М.: Книжный дом

«ЛИБРОКОМ», 2012. — 328 с. — ISBN 978-5-397-02584-3.
Суворова Е., Шейнин Ю. Проектирование цифровых систем на

VHDL. — СПб.: BHV, 2003. — С. 576. — ISBN 5-94157-189-5.
Грушвицкий Р. И., Мурсаев А. Х., Угрюмов Е. П. Проектирование систем на микросхемах с программируемой структурой. — С-Пб.: БХВ-Петербург, 2006. — С. 736. — ISBN 5-94157-657-9.
Бабак В. П., Корченко А. Г., Тимошенко Н. П., Филоненко С. Ф. VHDL. Справочное пособие по основам языка. — М.: Додэка - XXI, 2008. — С. 224. — ISBN 978-5-94120-169-3.

2013

Бибило П.Н. Основы языка VHDL: Учебное пособие. Изд. 5-е. — М.: Книжный дом «ЛИБРОКОМ», 2012. — 328 с. — ISBN 978-5-397-02584-3.Суворова Е., Шейнин Ю. Проектирование

Слайд 30Verilog:
Разработчики Verilog сделали его синтаксис очень похожим на синтаксис языка C,

что упрощает его освоение. Verilog имеет препроцессор, очень похожий на

препроцессор языка C, и основные управляющие конструкции «if», «while» также подобны одноимённым конструкциям языка C. Соглашения по форматированию вывода также очень похожи (см. printf).

Следует отметить, что описание аппаратуры, написанное на языке Verilog (как и на других HDL-языках) принято называть программами, но в отличие от общепринятого понятия программы как последовательности инструкций, здесь программа задает структуру системы. Так же для языка Verilog не применим термин «выполнение программы».
AHDL — проприетарный язык описания аппаратуры от Altera Corporation предназначенный для программирования выпускаемых ей ПЛИС. Язык имеет Ада-подобный синтаксис и схож с VHDL или Verilog. Он поддерживается компиляторами Quartus и Max+ от Altera.

2013

Verilog:Разработчики Verilog сделали его синтаксис очень похожим на синтаксис языка C, что упрощает его освоение. Verilog имеет препроцессор,

Слайд 31Программатор: Отладочник:
2013

Программатор:		Отладочник:2013

Слайд 33 Чем ПЛИС отличается от контроллера и когда их применять? Тут

всё довольно просто: ПЛИС - это, по сути, мешок логики

на одном кристалле, которую можно произвольно соединять: те самые триггеры, AND, OR и тому подобные примитивы, как, например, в серии К155 или 74НС. Контроллер же - это готовый процессор, встроенная память, шины данных и команд, периферия и т.д. В общем-то говоря, из большой ПЛИС можно сделать маленький контроллер, но эта затея, по крайней мере, глупая.
Итак, контроллер заточен под выполнение длинных цепочек команд, их циклического повторения, переключения с одной цепочки на другую и т.д., а ПЛИС заточена под выполнение простых логических операций и, что немаловажно, большого количества сразу (и даже на разных тактовых частотах).

2013

Чем ПЛИС отличается от контроллера и когда их применять? Тут всё довольно просто: ПЛИС - это, по

Обратная связь

Если не удалось найти и скачать доклад-презентацию, Вы можете заказать его на нашем сайте. Мы постараемся найти нужный Вам материал и отправим по электронной почте. Не стесняйтесь обращаться к нам, если у вас возникли вопросы или пожелания:

Email: Нажмите что бы посмотреть 

Что такое TheSlide.ru?

Это сайт презентации, докладов, проектов в PowerPoint. Здесь удобно  хранить и делиться своими презентациями с другими пользователями.


Для правообладателей

Яндекс.Метрика